计算机应用 | 古代文学 | 市场营销 | 生命科学 | 交通物流 | 财务管理 | 历史学 | 毕业 | 哲学 | 政治 | 财税 | 经济 | 金融 | 审计 | 法学 | 护理学 | 国际经济与贸易
计算机软件 | 新闻传播 | 电子商务 | 土木工程 | 临床医学 | 旅游管理 | 建筑学 | 文学 | 化学 | 数学 | 物理 | 地理 | 理工 | 生命 | 文化 | 企业管理 | 电子信息工程
计算机网络 | 语言文学 | 信息安全 | 工程力学 | 工商管理 | 经济管理 | 计算机 | 机电 | 材料 | 医学 | 药学 | 会计 | 硕士 | 法律 | MBA
现当代文学 | 英美文学 | 通讯工程 | 网络工程 | 行政管理 | 公共管理 | 自动化 | 艺术 | 音乐 | 舞蹈 | 美术 | 本科 | 教育 | 英语 |

自动售货机系统开发与设计MAX+PLUS II

2016-04-02 01:06
导读:计算机应用论文论文,自动售货机系统开发与设计MAX+PLUS II怎么写,格式要求,写法技巧,科教论文网展示的这篇文章是很好的参考:毕业
毕业

目  录
前言 1
1 EDA及FPGA概述 2
1.1 EDA技术简介 2
1.1.1 EDA技术的发展概况 2
1.1.2 EDA技术的基本特征 3
1.1.3 EDA开发平台MAX+PLUS II 4
1.3 FPGA简介 8
1.3.1 FPGA的基本特点 8
1.3.2 FPGA的结构及其发展 9
2 VHDL硬件描述语言 10
2.1 VHDL的优点 10
2.2 VHDL的程序结构 10
2.3 VHDL的主要描述语句 11
3 系统需求分析 13
3.1 任务概述 13
3.2 系统设计原则 13
3.3 功能需求 13
3.4 软硬件环境 14
4 自动售货机系统设计方案 15
4.1 自动售货机功能概述 15
4.2 自动售货机的外观 16
4.3 自动售货机工作流程 16
4.4 自动售货机系统组成 18
5 自动售货机系统设计实现 19
5.1 功能模块的实现及程序分析 19
5.1.1 entity定义模块 19
5.1.2 architecture模块 20
5.1.3 投入10元硬币的处理电路模块(coin_10_counting) 21
5.1.4 饮料选择处理电路模块(select_drink) 21
5.1.5 确认与取消处理电路模块(ok_or_cancel) 22
5.1.6 产生退币闪烁信号的电路模块(return_clk) 23
5.1.7 退币处理电路模块(coin_returned) 24
5.1.8 出货并计算存货电路模块(give_check) 26
5.1.9 抖动消除电路模块(debounce) 27
5.2 源程序编译 29
5.3 程序仿真结果分析 30
5.4 引脚锁定并编译 34
5.5 编程下载 36
5.6 设计验证 37
结束语 38
参考文献 39
致谢 40
附录 41

自动售货机系统设计与开发
 
摘要:本文简要介绍了FPGA的应用与发展情况,Altera的开发软件MAX+PLUS II的功能、以及运用该软件平台进行数字电路设计和仿真的方法;阐述了VHDL(Very High Speed Integrated Circuit Hardware Description Language)语言的1些特点及语法结构;介绍了自动售货机系统的基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。本设计利用Altera公司的开发软件MAX+PLUS II平台,采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件程序,在MAX+PLUS II软件平台上进行编译和电路仿真,最后生成的目标文件下载到实验台内的FPGA芯片以实现该系统,并在实验台上对设计进行了验证。

(转载自中国科教评价网http://www.nseac.com


    最终完成的自动售货机系统具有投币处理、商品选择、购货撤销、异常退币、计算存货等主要功能,整个系统的开发体现了在MAX+PLUS II软件平台上用VHDL设计数字控制系统的实用性。
关键词:FPGA;EDA;VHDL

The automat system design and development
 
Abstract:This paper introduces the application and development of FPGA,the function and composition of Altera software MAX+PLUS II as well as the method of design and emulation by utilizing the MAX+PLUS II software platform.It explains the characters and program structure of VHDL(Very High Speed Integrated Circuit Hardware Description Language),the basic principle and main functions of the automat system,and the design scheme based on VHDL language.The core circuit of the automat system is implemented by VHDL program,compiled and emulated on MAX+PLUS II platform of Altera.Finally,through the object codes of the core circuit are downloaded into test instrument,the automat system is realized completely,and the validity of system design is verified by the test platform.
    The finished automat system has the main functions of processing the thrown coin,goods choice,purchases abolishing,drawing back the coin,calculating goods in stock and so on.The whole system development manifests the practicability of designing the numerical control system on the MAX+PLUS II software platform with VHDL.
Keywords:FPGA;EDA;VHDL

 
前言
自动售货是20世纪70年代在日本、欧美发展起来的1种全新的消费方式,自动售货机又被称为24小时营业的微型自助超市,它的售货领域非常广泛,是发达国家商品0售的1种主要方式。自动售货机作为1种先进的消费方式,已经成为城市现代文明发达程度的重要标志,其新颖、时尚的购物方式,24小时营业的特点给人们生活带来了便利,美化了城市环境,受到消费者的欢迎。

(转载自http://zw.NSEaC.com科教作文网)


本文系统地介绍了有关编程逻辑器件的基础知识以及相关软件的使用方法,着重讲述了自动售货机的原理以及本次设计的思路和方法。第1章阐述了EDA技术的发展历史、基本特征以及Altera公司生产的1些产品器件、开发工具等等;并简单介绍了FPGA(Field Programmable Gate Array)的应用,现场可编程门阵列的出现是超大规模集成电路技术和计算机辅助设计技术发展的结果,它允许电路设计者利用基于计算机开发平台,经过设计输入、仿真、测试和校验,直到达预期的效果。第2章介绍VHDL语言(VHSIC Hardware Description Language),其中主要介绍了VHDL语言的特点、优点,VHDL语言的基本程序结构、语法以及主要的1些程序语句。第3章分析了本次设计的任务、设计原则以及功能需求。第4章阐述自动售货机系统的设计方案,介绍了自动售货机的基本功能、外观及设计流程。第5章阐述自动售货机系统的设计实现,分析了部分波形仿真结果,并对设计的正确性进行了验证。本文中自动售货机系统的硬件电路用2个按键电路代替2个投币孔,以LED点亮的数量显示各种币种的投入数量,再以4Hz闪烁的LED来显示退币种类与数量,采用1024Hz的系统时钟信号来控制所有买卖行为。
由于水平有限,手头资料也不是很多,文中难免有不妥和疏漏之处,欢迎批评指正。

    上一篇:资料室图书管理系统的设计与实现Delphi+SQL 下一篇:没有了